The video discusses about the use of the always@(posedge clk), always@(negedge clk) to design the sequential logic.